关于我们
精品教材          更多
规划教材          更多

数字芯核电路版权保护技术与应用

数字芯核电路版权保护技术与应用

定  价:32 元

丛书名:

  • 作者:梁伟著
  • 出版时间:2015/4/1
  • ISBN:9787564155858
  • 出 版 社:东南大学出版社
  • 中图法分类:D913.04 
  • 页码:212
  • 纸张:
  • 版次:1
  • 开本:16K
  • 字数:(单位:千字)
9
7
1
8
5
7
5
5
8
6
5
4
8
数字芯核水印技术通常也称为IP水印技术,它是一门运用芯核电路载体的冗余信息来隐藏秘密信息的新技术。目前在数字芯核水印系统设计中,合法IP米快的复用设计可以保证IP设计更高的研发效率和减少上市周期。本书重点关注数字IP设计中的IP知识产权保护问题。
 我要评论
您的姓名   验证码: 图片看不清?点击重新得到验证码
留言内容